diff --git a/ghdl-textio.patch b/ghdl-textio.patch new file mode 100644 index 0000000..22344b8 --- /dev/null +++ b/ghdl-textio.patch @@ -0,0 +1,15 @@ +--- gcc/vhdl/libraries/std/textio_body.vhdl.orig 2009-05-25 18:13:20.000000000 +0200 ++++ gcc/vhdl/libraries/std/textio_body.vhdl 2009-05-25 18:14:31.000000000 +0200 +@@ -1308,7 +1308,11 @@ + value := l (l'left to l'left + len - 1); + trim (l, l'left + len); + else +- value := l (l'left downto l'left - len + 1); ++ if len = 1 then ++ value (value'left) := l (l'left); ++ else ++ value := l (l'left downto l'left - len + 1); ++ end if; + trim (l, l'left - len); + end if; + end read; diff --git a/ghdl.spec b/ghdl.spec index b9067f0..5a05d22 100644 --- a/ghdl.spec +++ b/ghdl.spec @@ -5,7 +5,7 @@ Summary: A VHDL simulator, using the GCC technology Name: ghdl Version: %{ghdlver} -Release: 0.%{ghdlsvnver}svn.6%{?dist} +Release: 0.%{ghdlsvnver}svn.7%{?dist} License: GPLv2+ Group: Development/Languages URL: http://ghdl.free.fr/ @@ -27,6 +27,8 @@ Patch105: ghdl-grtadac.patch Patch106: ghdl-ppc64abort.patch # https://gna.org/bugs/index.php?13389 Patch107: ieee-mathreal.patch +# https://gna.org/bugs/index.php?13574 +Patch108: ghdl-textio.patch BuildRoot: %{_tmppath}/%{name}-%{version}-%{release}-root-%(%{__id_u} -n) Requires(post): /sbin/install-info Requires(preun): /sbin/install-info @@ -129,6 +131,7 @@ popd %patch104 -p0 -b .libgnat43 %patch105 -p1 -b .grtadac %patch106 -p0 -b .ppc64abort +%patch108 -p0 -b .textio %build %{__rm} -fr obj-%{gcc_target_platform} @@ -311,6 +314,9 @@ popd %changelog +* Tue May 26 2009 Thomas Sailer - 0.27-0.110svn.7 +- fix bug in std.textio.read (string) + * Wed Apr 2 2009 Thomas Sailer - 0.27-0.110svn.6 - actually add the patch